Specador Documentation Generator User Guide
Rev. 24.1.8, 24 April 2024

Chapter 10. What is New?

#.# - major version - Includes new features, major enhancements, architectural changes, bug fixes. 
Since 2015, a major version is named in sync with the release year, for example the first major version of 2015 is 15.1.
NOTE: When switching to a new major version it is recommended to start in a new workspace.

#.#.# - minor version - Includes bug fixes, minor enhancements.

24.1.8 (24 April 2024)

Performance

  • DVT-20377 Speed-up generation of HTML files when using Sphinx

Enhancements

  • DVT-19743 Enable zoom and pan operations for external generated diagrams

  • DVT-20141 Add links to always blocks and processes in comments

  • DVT-20375 Show warning and disable design diagram checkboxes in the DVT Eclipse wizard when no elaboration top is specified

Bugfixes

  • DVT-19742 Use relative paths for referencing external generated diagrams

  • DVT-20444 Wrong interpretation of hash characters when using Natural Docs

24.1.7 (9 April 2024)

Enhancements

  • DVT-20268 Perform custom index file path validation upfront

  • DVT-20321 Show total generation times per language

Bugfixes

  • DVT-20194 Hyperlinks extracted from architecture comments are not valid

  • DVT-20299 Sometimes not using a comment formatter breaks PDF generation

  • DVT-20302 Print parsing time in the build log/console

  • DVT-20304 Print information related to the skipped -y / -v libraries in the build log/console

  • DVT-20323 NullPointerException is thrown when using +dvt_db_location directive in batch mode

  • DVT-20329 Sometimes an exception is thrown when generate blocks contain instances of undefined modules

  • DVT-20330 Sometimes an exception is thrown when generating hyperlinks for the type of a port

  • DVT-20341 Sometimes an exception is thrown when generating Bit Field diagrams

  • DVT-20344 Bit Field diagrams toggle is not taken into account

24.1.6 (27 March 2024)

Performance

  • DVT-20059 & DVT-20038 Speed-up generation of Bit Field Diagrams

Features

  • DVT-20125 Add support for reStructuredText comments format

Enhancements

  • DVT-20039 Ability to use multiple comment formats for the same project

  • DVT-20143 Export modport signatures for interfaces

  • DVT-20145 Export typedefs defined inside modules

  • DVT-20256 License: Improved license management when encountering connectivity issues

Bugfixes

  • DVT-20098 Documentation generation times are incorrectly reported

  • DVT-20191 Export comment descriptions for entities and architectures

  • DVT-20196 Bit Field Diagrams are not exported for structures defined in the global scope

  • DVT-20225 Links to functions or fields are not resolved correctly in comments

  • DVT-20226 In specific scenarios, documentation of packages is exported regardless of the DVT Eclipse wizard dialog selection

  • DVT-20231 Design diagram links are broken in specific scenarios

24.1.5 (13 March 2024)

CLEANUP

  • DVT-20104 Remove invalid escape characters from progress report in DVT Eclipse Console View

Features

  • DVT-20119 Ability to export documentation for eLanguage projects using Sphinx engines

Enhancements

  • DVT-20031 Ability to generate UML Collaboration diagrams

  • DVT-20099 Display selected project and XML preferences file in the DVT Eclipse wizard dialog

  • DVT-20123 Improve the layout of HTML tables

  • DVT-20140 Include the names of labeled always blocks in their signature

  • DVT-20158 Include HTML page sections in the Table of Contents

Bugfixes

  • DVT-19855 NaturalDocs bullet lists are not rendered correctly for VHDL entities

  • DVT-20135 Do not export UML Inheritance diagrams for classes without children

24.1.4 (28 February 2024)

CLEANUP

  • DVT-20073 License: Replaced the license events database with a capped human readable log

Enhancements

  • DVT-19967 Ability to include images from an attached location with relative paths using Markdown

  • DVT-20066 Add hyperlinks for VHDL elements in generics range attributes and default values

Bugfixes

  • DVT-20090 FSM diagrams are not centered after a zoom reset operation

  • DVT-20091 Bit Field Diagrams are overlapping with other elements on page

24.1.3 (13 February 2024)

Enhancements

  • DVT-19892 Include generate block conditions and module instances in the Design Hierarchy

  • DVT-19918 Add a diagrams index to the Table of Contents

  • DVT-19947 Handle missing latexmk executable when generating PDF

Bugfixes

  • DVT-19929 Links between Verilog and VHDL instances don't work

  • DVT-19948 Fix duplicated page titles in HTML

  • DVT-20014 Compile waivers: When located directly under the compilation root, waivers are not applied in batch mode

  • DVT-20028 NullPointerException is thrown when generating block diagrams without ports

  • DVT-20045 Sometimes comment formatter preference in the DVT Eclipse wizard is ignored

  • DVT-20054 License: In specific scenarios, connectivity issues are not detected immediatly

24.1.2 (1 February 2024)

Enhancements

  • DVT-19895 Improve layout of hierarchies in PDF

  • DVT-19916 Split macros into "Undefined Control Defines", "Control Defines", "Defines" and "Ifndef" guards" categories

  • DVT-19917 Fix search highlight in SVG diagrams

  • DVT-19955 Use the same Eclipse wizard for the deprecated engine

  • DVT-19957 Remove zoom buttons from diagrams that can be expanded

Bugfixes

  • DVT-19934 Block diagrams are missing from components

  • DVT-19935 NullPointerException is thrown when generating top designs page

  • DVT-19940 Fix WaveDrom diagrams styling artifacts

  • DVT-19980 Flow diagrams are missing from architectures

24.1.1 (18 January 2024)

Starting with the 24.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.19).

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 23.#.# releases for early adopters.

  • New documentation engine powered by Sphinx:

    • Ability to generate PDF documentation

    • Ability to export UVM Component Diagrams for tests

    • Add support for Markdown syntax in comments

    • Add hyperlinks between exported source code and documentation

    • Enhanced Block Diagrams with types and widths

    • Ability to search the entire documentation content

    • Improved overall look and feel

  • New filtering mechanism for comments based on keywords

REMOVED

  • DVT-19867 License: Removed support for FlexLM tools and dvtlmd daemon versions <11.19

Features

  • DVT-3092 Ability to generate PDF documentation

  • DVT-11483 & DVT-9650 Ability to generate UVM Components Diagrams for tests

  • DVT-11667 Ability to search the entire documentation content

  • DVT-13182 & DVT-13323 Add support for Markdown syntax in comments

  • DVT-16674 Show UVM tests in the Table of Contents

  • DVT-18661 Add hyperlinks between exported source code and documentation

Enhancements

  • DVT-9368 Ability to include user documentation files written with Markdown syntax

  • DVT-17580 Enhanced Block Diagrams with port types and widths

  • DVT-17670 Ability to include FSM diagram descriptions from the enclosing always block comment

  • DVT-19845 Add hyperlinks for VHDL package elements

  • DVT-19848 Export default values for VHDL generics

  • DVT-19849 Export VHDL constants from packages

23.2.28 (28 November 2023)

Bugfixes

  • DVT-16318 Sometimes FSM diagram states are not highlight correctly when a transition is selected

  • DVT-19741 Ignore filter comments preference when empty

23.2.26 (14 November 2023)

Bugfixes

  • DVT-16548 Comments are not collected for covergroups with arguments

  • DVT-19693 Comment filters not working for argument comments

23.2.25 (1 November 2023)

Enhancements

  • DVT-19593 Specador: Add a filtering mechanism for comments based on keywords

Bugfixes

  • DVT-18312 The description of a type is duplicated to its first layer

  • DVT-18313 Comments are not collected for enum values

23.2.24 (17 October 2023)

Bugfixes

  • DVT-17329 Specador: Inline comment collection for e Language elements

23.2.23 (9 October 2023)

HIGHLIGHTS

  • Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

CLEANUP

  • DVT-19560 License: Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

23.1.22 (22 September 2023)

Enhancements

  • DVT-18008 Filter API defined in test files from the rest of the documentation

  • DVT-19279 Ability to sort class variables by declaration order instead of alphabetically

Bugfixes

  • DVT-19391 Generation doesn't start if files from another project are opened in the editor when using Eclipse

  • DVT-19413 Custom menu can't be specified at the bottom of the page

  • DVT-19426 Inconsistent behavior when resolving relative paths with parent directory segments crossing a directory symlink during build config parsing and file parsing

23.1.20 (6 September 2023)

Enhancements

  • DVT-19294 Bit Field Diagrams: Trim unused bit fields for UVM registers

Bugfixes

  • DVT-18131 Do not print carriage return after reporting problems in the Console View on Linux distros

  • DVT-19252 Bit Field Diagrams should not used dark theme when documentation is exported from Eclipse

23.1.19 (25 August 2023)

Enhancements

  • DVT-19173 Memory Monitor: "Display Memory Warning" preference should only affect the warning pop-up

  • DVT-19174 Memory Monitor: Close the warning pop-up when reaching an error state

23.1.18 (2 August 2023)

Enhancements

  • DVT-19113 Specador: Add package information in class hierarchies and UML diagrams

23.1.16 (18 July 2023)

Bugfixes

  • DVT-19152 Missing hyperlinks for design diagrams in mixed projects

23.1.15 (7 July 2023)

Enhancements

  • DVT-19085 Bit Field Diagrams: Ability to see the full name of a field in the table on hover

23.1.9 (12 April 2023)

Enhancements

  • DVT-18767 Memory Monitor: Avoid false warnings in small projects

Bugfixes

  • DVT-18865 Incorrect signing for non-ANSI port with separate net declaration

  • DVT-18882 Memory Monitor: Disable directive not working

23.1.7 (15 March 2023)

Enhancements

  • DVT-18658 Specador: Collaboration diagrams should be generated with a depth of one

23.1.4 (8 February 2023)

DEPRECATED

  • DVT-18604 License: Support for FlexLM tools and dvtlmd daemon <11.19 is deprecated and will be removed in future versions

Features

  • DVT-18605 License: New client implementation based on FlexLM 11.19 (disabled by default)

Enhancements

  • DVT-18585 Wrong formatting for definition lists with long strings for Natural Docs comment syntax

Bugfixes

  • DVT-18240 License: In some cases the license client leaks a large number of CLOSE_WAIT sockets due to a FlexLM bug

  • DVT-18603 License: In some cases when multiple license sources are set and no license is available, the license client is repeatedly queuing and dequeuing for a license

  • DVT-18672 Exception thrown when an unsupported language kind is passed to specador.sh

23.1.3 (31 January 2023)

Bugfixes

  • DVT-18566 Hyperlinks to e Language expect struct members are not generated

  • DVT-18570 e Language covergroups are associated with the wrong comment

  • DVT-18572 e Language covergroups are not properly exported when sharing the same enclosing package

  • DVT-18573 Improve definition lists formatting for Natural Docs comment syntax

23.1.1 (19 January 2023)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 22.1.# hotfix releases for early adopters.

  • Improved e Language support

  • Improved Natural Docs support for hyperlinks

  • Export external resources specified in comments

Enhancements

  • DVT-18504 Export the sampling event of TCMs

22.1.39 (20 December 2022)

Bugfixes

  • DVT-18417 Comments are not properly collected for methods with separated declaration and implementation

22.1.38 (14 December 2022)

Bugfixes

  • DVT-18405 Specador: Ability to cancel the Scan Elements stage

  • DVT-18406 Specador: No progress bar shown when scanning elements

  • DVT-18428 Comments containing file:// links ending with anchor fragments are not recognized

Enhancements

  • DVT-18429 Specador: Log progress output in DVT Eclipse console

22.1.35 (17 November 2022)

Features

  • DVT-11769 Memory Monitor: Memory starvation handling mechanism

Bugfixes

  • DVT-18132 NullPointerException is thrown when undefined environment variables are used in +dvt_skip_compile

  • DVT-18342 Table of contents in multi-nature projects contains a broken hyperlink for e Language tests

22.1.32 (1 November 2022)

Bugfixes

  • DVT-18263 Methods extended in unit subtypes are not properly documented

22.1.31 (20 October 2022)

Bugfixes

  • DVT-18185 Specador: Project is compiled with a different build file than specified in the command line

22.1.28 (28 September 2022)

Bugfixes

  • DVT-17854 Table of Contents is missing the diagrams section in mixed language projects

  • DVT-17855 Expanding the Design Tops in the Table of Contents doesn't work in mixed language projects

  • DVT-17931 Filter layer comments specified in a eLanguage test

  • DVT-18127 Thread Dump Collector: Fix broken zips caused by SIGINT signals

Enhancements

  • DVT-18068 Add preference for exporting eLanguage tests

22.1.27 (13 September 2022)

Bugfixes

  • DVT-18060 Copying customization files doesn't work if the documentation is located outside project directory

22.1.25 (30 August 2022)

Enhancements

  • DVT-17986 Add preference to automatically copy custom.css and custom.js file to documentation

22.1.23 (16 August 2022)

Enhancements

  • DVT-17736 Add preferences for FSM Diagrams in Export HTML Documentation Dialog

  • DVT-17917 Natural Docs: Generate hyperlinks to test pages when specifying the test name

  • DVT-17918 Natural Docs: Generate hyperlinks to exported source code

22.1.22 (2 August 2022)

Enhancements

  • DVT-17858 Generate links for email addresses

Bugfixes

  • DVT-17859 Comments are not properly formatted for elements in test pages

22.1.21 (21 July 2022)

Enhancements

  • DVT-17797 Specador: Create a separate entry for eLanguage tests

22.1.20 (11 July 2022)

Enhancements

  • DVT-17320 Specador: Export e Language ports

  • DVT-17362 Specador: Export documentation for e Language method extensions

22.1.19 (28 June 2022)

Bugfixes

  • DVT-17716 Above line comments are not exported if the element has inline comments

22.1.13 (9 May 2022)

Enhancements

  • DVT-17486 Build log/output should be consistent across tools

22.1.11 (18 April 2022)

Enhancements

  • DVT-17293 Copy resources specified in comments under documentation directory

Bugfixes

  • DVT-17361 Hyperlinks are not generated for elements in VHDL or e Language

  • DVT-17453 Extended subtypes documentation is not generated when the subtype doesn't have fields

22.1.8 (15 March 2022)

Features

  • DVT-17389 License: Add support for inline string license sources

  • DVT-17390 License: Add support for platform independent license source separator &

  • DVT-17391 License: Add support for using a local license by multiple applications at the same time under the same user

Enhancements

  • DVT-17330 Filter implicit covergroup items generated by transitions

  • DVT-17344 Export access qualifiers for e Language members

  • DVT-17345 Limit maximum number of problems reported in the build log

  • DVT-17392 License: Send to the license server the client PID instead of the unused and dummy display value

Bugfixes

  • DVT-17301 Wrong source code hyperlinks for covergroups

  • DVT-17393 License: Fixed custom port support in local license sources

22.1.6 (23 February 2022)

Enhancements

  • DVT-17291 Export events, methods and TCMs from subtypes

Bugfixes

  • DVT-17286 VHDL processes are not exported with the new elaboration engine

  • DVT-17289 WAVEDROM_FILE pragma is not recognized in linked resources

22.1.2 (26 January 2022)

Enhancements

  • DVT-16256 Add a navigation menu entry for top level eVC

Bugfixes

  • DVT-17154 Some scripts are not working correctly when ANSI colors are always set for some system commands

22.1.1 (18 January 2022)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 21.1.# hotfix releases for early adopters.

  • Show design tops in the main navigation menu

  • Show actual parameter values of design instances

  • Show ports in a table-like layout

  • Export source code with syntax highlighting

  • Generate PlantUML diagrams from comment descriptions

  • Integrate external Doxygen HTML documentation

Features

  • DVT-14920 Ability to see VHDL design tops in the main navigation menu

Enhancements

  • DVT-17104 Show actual parameter values of design instances

21.1.53 (22 December 2021)

Enhancements

  • DVT-16791 Ability to expand `include directives in the body of a module

21.1.52 (14 December 2021)

Enhancements

  • DVT-16769 Ability to configure diagram generation timeout and layout attempts

  • DVT-16883 Add documentation for internal classes

21.1.50 (23 November 2021)

Enhancements

  • DVT-16730 Add HTML footer for VHDL and e Language documentations

21.1.48 (16 November 2021)

Bugfixes

  • DVT-16772 Natural Docs images do not work

21.1.44 (19 October 2021)

Enhancements

  • DVT-15815 Ability to embed WaveDrom description files within comments

  • DVT-16513 Organize generics in a table layout

Bugfixes

  • DVT-16316 Remove the ability to double-click on generate blocks in VHDL Schematic Diagrams

  • DVT-16728 NullPointerException when -lang value is not one of the supported values

21.1.41 (27 September 2021)

Bugfixes

  • DVT-16559 Ensure that DVT distro scripts run with POSIX mode disabled

21.1.39 (15 September 2021)

Features

  • DVT-11841 Add support for PlantUML comments that generate diagrams

21.1.35 (23 August 2021)

Bugfixes

  • DVT-16529 Specador: Progress indicator does not account for comment processors

21.1.34 (16 August 2021)

Enhancements

  • DVT-16268 Organize ports in a table-like layout

  • DVT-16314 Ability to export VHDL processes

  • DVT-16333 Ability to export eLanguage event callback methods

  • DVT-16490 Ability to highlight FSM states from the SVG diagram

Bugfixes

  • DVT-16334 Filter out constants from the Instances View in VHDL

  • DVT-16423 Export all eLanguage packages if preference file does not specify any

21.1.33 (9 August 2021)

Bugfixes

  • DVT-16465 Multiple exceptions thrown when dvt_build.log is read-only

21.1.32 (3 August 2021)

Bugfixes

  • DVT-10028 Progress indicator does not account for e Language UML diagrams

  • DVT-15898 Progress indicator reports values over maximum value

21.1.26 (22 June 2021)

Features

  • DVT-6057 Export source code with highlight and hyperlinks

21.1.25 (16 June 2021)

Features

  • DVT-9801 Export generics for VHDL entities

Enhancements

  • DVT-16267 Collect inline comments for port declarations in VHDL

21.1.22 (24 May 2021)

Bugfixes

  • DVT-16160 Custom menu references should contain relative paths

  • DVT-16162 External documentation hyperlinks should contain relative paths

  • DVT-16237 Hardwire the shell used by distribution scripts to /bin/bash

21.1.19 (10 May 2021)

Bugfixes

  • DVT-16131 DPI references to Doxygen documentation should contain relative paths

  • DVT-16139 SystemVerilog packages miss an entry for DPI functions in the navigation menu

21.1.18 (28 April 2021)

Bugfixes

  • DVT-16110 Tool does not start under certain Windows 10 configurations

21.1.17 (26 April 2021)

Features

  • DVT-16066 Create a separate entry for DPI functions

  • DVT-16103 Ability to integrate with external Doxygen documentation

21.1.9 (8 March 2021)

Bugfixes

  • DVT-15890 Diagrams page not generated for Verilog projects

21.1.7 (22 February 2021)

Features

  • DVT-15544 Add -license_queue_timeout argument to specify the maximum time in seconds to wait in queue for a license

Enhancements

  • DVT-15543 Print the "Waiting for license..." message event when using -silent argument

21.1.1 (11 January 2021)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 20.1.# hotfix releases for early adopters.

  • Performance improvements and enhancements for JavaDoc and Natural Docs comment formatting

  • New UVM Register Bitfield Diagrams

  • Hyperlinks for UML, schematic and flow diagrams

  • Ability to see Verilog design tops in the main navigation menu

REMOVED

  • DVT-15680 Removed the ability to generate documentation using the old look and feel

Bugfixes

  • DVT-15695 Waivers generated by +dvt_auto_snps_vip_waivers should be applied automatically in batch mode

20.1.39 (9 November 2020)

Bugfixes

  • DVT-15550 Scripts platform check doesn't work for all 64-bit platforms

20.1.38 (30 October 2020)

Bugfixes

  • DVT-15528 Exception logger is not printing the stack trace

20.1.29 (28 August 2020)

Bugfixes

  • DVT-8768 When filtering-out base classes, the inheritance tree is also filtered in the generated HTML documentation

  • DVT-15222 Generate-blocks inside schematic diagrams should not allow double-click action

  • DVT-15223 Double-click on schematic and flow diagram elements doesn't work when the mouse is over the text

20.1.27 (14 August 2020)

Enhancements

  • DVT-11482 Add hyperlinks for UML diagrams

  • DVT-14160 Add support for hyperlinks inside schematic and flow diagrams to design elements from another language

20.1.25 (24 July 2020)

Enhancements

  • DVT-8723 Show enum item values

  • DVT-8724 Show enum type only for the enum name declaration

20.1.21 (2 July 2020)

Enhancements

  • DVT-14769 Ability to see the design tops in the main navigation menu for SytemVerilog

  • DVT-14886 Add PID and hostname to the startup information

20.1.12 (21 May 2020)

Bugfixes

  • DVT-14684 Enhance comments preference is always set

20.1.11 (15 May 2020)

Performance

  • DVT-14651 Improve generation speed of pages containing JavaDoc and Natural Docs comments

Enhancements

  • DVT-14627 Add register field tables for each UVM bitfield diagram

20.1.10 (11 May 2020)

Enhancements

  • DVT-12538 Add support for JavaDoc code tag in comments

  • DVT-14596 Enhancements for JavaDoc and Natural Docs comment formatter

Bugfixes

  • DVT-8722 JavaDoc comment is not picked up correctly when using a blank line after @param

20.1.5 (27 March 2020)

Features

  • DVT-14384 Add support for UVM Register Bitfield Diagrams

20.1.1 (21 February 2020)

HIGHLIGHTS

Note: The highlights below were rolled-out in 19.1.# hotfix releases for early adopters.

19.1.48 (29 January 2020)

Enhancements

  • DVT-14130 Show indexes for FSM transition conditions

  • DVT-14146 Update JRE in distro to Amazon Corretto 8u242

  • DVT-14157 Ignore DVT_LICENSE_FILE containing multiple hard-wired "FLEXLM" strings

Bugfixes

  • DVT-14174 Broken search on mixed language projects

  • DVT-14193 False errors during mixed language compilation due to wrong language priorities

19.1.47 (10 January 2020)

Bugfixes

  • DVT-14127 Sometimes a freemarker.core.InvalidReferenceException is thrown when generating documentation with finite-state machine diagrams

19.1.44 (20 December 2019)

Enhancements

  • DVT-13984 Add 'Diagrams' index page in the table of contents with all finite-state machine diagrams

19.1.42 (6 December 2019)

Bugfixes

  • DVT-13942 Search stopped working in newer Firefox versions

  • DVT-13950 Consecutive searches of the same element don't work

19.1.41 (28 November 2019)

Features

  • DVT-13884 Show the transitions table for finite-state machine diagrams

Bugfixes

  • DVT-13960 Windows specador.bat script expects DVT_LICENSE_FILE to be FLEXLM when using FlexLM license servers

19.1.40 (12 November 2019)

Enhancements

  • DVT-13723 Ability to zoom inside the diagrams using the mouse wheel

  • DVT-13724 Increase diagrams zoom-in threshold

Bugfixes

  • DVT-13909 Correctly written +dvt_editor_association_override directive generates build config error

19.1.39 (6 November 2019)

Features

19.1.38 (31 October 2019)

Bugfixes

  • DVT-13727 Exception thrown when using +dvt_editor_association_override in the default.build passed to specador.sh

19.1.36 (21 October 2019)

Bugfixes

  • DVT-13829 Build config errors can't be waived using the message prefix

19.1.30 (29 August 2019)

Bugfixes

  • DVT-13643 Specador progress reporting sometimes overflows 100% and the total reported time is wrong

19.1.29 (20 August 2019)

Enhancements

  • DVT-13654 Ability to use wildcard matching in the TOC search

19.1.27 (2 August 2019)

Enhancements

  • DVT-11250 & DVT-12413 Report XML errors for compile waivers

19.1.26 (26 July 2019)

Enhancements

  • DVT-13566 Ability to see to how many problems a compile waiver was applied using the -print_compile_waivers_info argument

19.1.15 (9 May 2019)

Bugfixes

  • DVT-1444 Node locked license doesn't work when the bound network interface is down (fix for Linux)

  • DVT-13287 Fixed the support for directory license sources to scan for license files (*.lic)

19.1.14 (6 May 2019)

Bugfixes

  • DVT-13275 Scripts warn about "unset GTK_IM_MODULE=1 not a valid identifier"

19.1.13 (25 April 2019)

Bugfixes

  • DVT-13257 Fixed sporadic JVM crashes appearing when files were changed while being parsed

19.1.1 (22 February 2019)

Starting with the 19.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.14).

REMOVED

  • DVT-3913 Removed support for DVT specific file licenses, migrated to FlexLM file licenses

  • DVT-12877 Removed support for FlexLM tools and daemon versions <11.14

Features

  • DVT-6546 Queue on the license server when all licenses are in use (removed -lic_queue flag that was emulating this behavior)

Enhancements

  • DVT-2497 Ability to use DVT_LICENSE_FILE environment variable for FlexLM licenses

18.1.27 (30 August 2018)

Bugfixes

  • DVT-12216 Batch mode compilation fails for files with modification time less or equal to 0

18.1.9 (12 April 2018)

Bugfixes

  • DVT-11399 Build config warnings are not reported when -ignore_compile_errors is used

17.1.43 (1 February 2018)

Bugfixes

  • DVT-11194 Export all libraries/packages if empty <export-vhdl-library/> or <export-vlog-package/> tags are specified

17.1.41 (19 January 2018)

Enhancements

  • DVT-11023 Show a warning if _JAVA_OPTIONS or JAVA_TOOL_OPTIONS system variables are set before running the tool

17.1.36 (24 November 2017)

Enhancements

  • DVT-10883 Increased default heap size to 3g and default stack size to 4m for all 64 bits distros

17.1.30 (13 October 2017)

Feature

  • DVT-10273 Ability to generate an XML file with all supported preferences

Bugfixes

  • DVT-10637 UVM filters for UML diagrams are not taken into account

17.1.28 (28 September 2017)

Features

  • DVT-10582 Add hyperlinks to design schematic and flow diagrams

17.1.26 (14 September 2017)

Bugfixes

  • DVT-10508 specador.sh doesn't return the correct exit code

17.1.17 (12 July 2017)

Enhancements

  • DVT-10139 Add events from all SystemVerilog scopes containing events

Bugfixes

  • DVT-10096 Remove hyperlinks from e Language events that are not covered

  • DVT-10097 Events are missing class documentation

17.1.16 (30 June 2017)

Enhancements

  • DVT-10024 Ability to set the location of distribution's Eclipse and JRE folders using DVT_ECLIPSE_HOME and DVT_JAVA_HOME

17.1.15 (16 June 2017)

Deprecated

  • DVT-10031 Removed Graphviz UML Diagrams and the flags used to generate them

Features

  • DVT-10032 Added new SVG UML Diagrams

17.1.7 (10 April 2017)

Bugfixes

  • DVT-8800 Comment lines with words containing the element name are stripped from documentation

  • DVT-9796 Specador: Go to element from global search does not work for mixed-language documentation

17.1.1 (24 February 2017)

Features

  • DVT-3079 Generate Finite-State Machine Diagrams

Enhancements

  • DVT-7673 Fail when files passed as arguments do not exist

16.1.37 (24 February 2017)

Bugfixes

  • DVT-9375 Diagrams from referenced documentation are regenerated if the reference is outside of the project

16.1.35 (1 February 2017)

Enhancements

  • DVT-9146 Add the diagram-max-nof-nodes in DTD for auto complete

Bugfixes

  • DVT-9365 Global scope API filter does not work

  • DVT-9418 Macros are documented even if excluded but Global Scope is selected

16.1.31 (9 December 2016)

Enhancements

  • DVT-8159 Ignore @brief tags lines in comments

  • DVT-9132 Add covergroup information in class, struct, unit pages

  • DVT-9131 Ability to skip a header comment candidate that matches a simple pattern or regex when using +dvt_extract_comment_header+

  • DVT-9134 Ignore invalid HTML tags when parsing comments as JavaDoc

Bugfixes

  • DVT-9293 Fix NullPointerException when +dvt_auto_snps_vip_* flags are used

16.1.27 (28 October 2016)

Bugfixes

  • DVT-9122 Build config: irun location is not correctly inferred when compiling in batch mode

16.1.22 (12 September 2016)

Bugfixes

  • DVT-8948 When testbench classes reside under a program, they are not available in the main index

16.1.16 (8 July 2016)

Enhancements

  • DVT-8135 Check that executed script is part of the same distribution where $DVT_HOME points to

16.1.9 (9 May 2016)

Features

  • DVT-8567 Ability to add block, flow and schematic design diagrams using export-design-block-diagram, export-design-flow-diagram, export-design-schematic-diagram

Bugfixes

  • DVT-7496 Expand on e Language checks page groups doesn't work

16.1.2 (3 March 2016)

Bugfixes

  • DVT-8326 No documentation generated for inner enums, structs or classes

  • DVT-8340 Wrong Java path in MacOS distros

16.1.1 (24 February 2016)

Enhancements

  • DVT-7978 Updated JRE in distribution to version 1.8.0u66

  • DVT-8275 Build with Java 8, minimal JRE required version increased to 1.8

15.1.37 (23 December 2015)

Enhancements

  • DVT-8156 SystemVerilog: Add preference to enable/disable "Ifndef Guard Defines" extraction to HTML, do not generate by default

  • DVT-8157 SystemVerilog: Add preference to enable/disable "Control Defines" extraction to HTML

15.1.34 (28 November 2015)

Enhancements

  • DVT-8111 Add a preference to skip class diagram generation if maximum number of nodes exceeds a specified threshold

15.1.33 (20 November 2015)

Performance

  • DVT-8092 SystemVerilog: Improve the performance of assertions and packages analysis

15.1.32 (18 November 2015)

Bugfixes

  • DVT-8085 Sometimes license checkout fails when using the latest FlexLM server (11.13.1)

15.1.27 (8 October 2015)

Bugfixes

  • DVT-7927 Wrong package comment processing when used for the overview page

15.1.25 (22 September 2015)

Enhancements

  • DVT-7836 Ability to create URL for HTML frame content in order to simplify sharing links to specific pages

15.1.24 (18 September 2015)

Enhancements

  • DVT-7832 Ability to use a specific package documentation as the overview page

  • DVT-7833 Ability to filter UVM API from index and macros pages in order to avoid clutter

  • DVT-7834 Show functions and tasks in generated documentation for interfaces

  • DVT-7835 Provide legend for class diagrams in generated documentation

Bugfixes

  • DVT-7888 Wrong progress report when linking external documentations

15.1.22 (2 September 2015)

Enhancements

  • DVT-7829 Add diagram generation process timeout (1 minute)

15.1.18 (10 August 2015)

Performance

  • DVT-7739 Improve Specator HTML search box performance

Enhancements

  • DVT-7737 Add preference to show brief comment in index tables

15.1.17 (3 August 2015)

Features

  • DVT-7727 New specador.bat Windows script

Enhancements

  • DVT-6930 Ability to link header comment to first significant element in file

15.1.16 (27 July 2015)

Deprecated

  • -gen_html_doc_from_settings is deprecated, use -preferences instead

  • -get_html_doc flag is deprecated, use -title instead

Enhancements

  • DVT-7664 Enhance progress reporting in batch mode - what file is currently generated, how long it takes

  • DVT-7665 Use both extern and implementation function argument comments when generating documentation

  • DVT-7667 Don't modify the capitalization of the first word in the sentence if that word is in fact the identifier name

  • DVT-7669 Ability to pass custom menu by command line

  • DVT-7670 Ability to pass title by command line

Bugfixes

  • DVT-7663 Use portable awk syntax in scripts

  • DVT-7687 Avoid silent exit after a StackOverflowError or OutOfMemoryError

15.1.11 (20 May 2015)

Bugfixes

  • DVT-7474 License error due to a NullPointerException in FlexLM

15.1.10 (15 May 2015)

Bugfixes

  • DVT-7449 RuntimeExceptions are thrown when generating documentation that contains some diagram types

15.1.1 (27 February 2015)

Enhancements

  • DVT-7065 Build for Java 7, minimal JRE required version increased to 1.7

3.5.35 (30 January 2015)

Bugfixes

  • DVT-6284 Diagrams in Specador should reflect architectures not entities in VHDL

3.5.32 (18 December 2014)

Bugfixes

  • DVT-6900 Generated design diagrams are empty

3.5.30 (28 November 2014)

Bugfixes

  • DVT-6854 No license found when using Specador for SystemVerilog

3.5.26 (31 October 2014)

Enhancements

  • DVT-6766 Use new HTML look & feel by default

3.5.25 (23 October 2014)

Enhancements

  • DVT-6431 VHDL: Added architecture instances and sub-instances

3.5.24 (10 October 2014)

Enhancements

  • DVT-6278 Added compile waivers in functionality

  • DVT-6710 Added support for clocking blocks

3.5.23 (2 October 2014)

Features

Enhancements

  • DVT-6213 Refine parameters documentation

  • DVT-6688 Show parameters in the inheritance tree

  • DVT-6689 Refine interfaces documentation (ports, variables, modports, clocking blocks)

3.5.19 (21 August 2014)

Bugfixes

  • DVT-6553 Specador compiles all files according to -lang switch in a mixed language build configuration regardless of extension mappings

  • DVT-6565 Specador complains about non-existing irun executable even if not in ius.irun compatibility mode

  • DVT-6570 FileNotFoundException (Not a directory) when generating documentation using the new HTML style

3.5.18 (1 August 2014)

Bugfixes

  • DVT-6470 Specador "-h" shows help but also prints an error

3.5.17 (25 July 2014)

Features

  • DVT-5560 Ability to customize the HTML look & feel when new HTML style is used

  • DVT-6487 Ability to inject HTML in generated documentation when new HTML style is used

Bugfixes

  • DVT-6526 Watermark footer missing in new HTML style

3.5.16 (8 July 2014)

Features

  • DVT-6485 Specador: New HTML look & feel

Enhancements

  • DVT-6279 SystemVerilog API defined under a class should appear only under that class and not under global API

  • DVT-6434 SystemVerilog remove covergroups, assertions, functions, tasks, variables from index page and search

Bugfixes

  • DVT-5550 Specador: Method argument comments are not extracted

  • DVT-6210 Specador: Fixed JavaDoc @link hyperlink extraction when similar links are used

3.5.14 (24 June 2014)

Bugfixes

  • DVT-6353 VHDL Overloaded functions are not visible

3.5.13 (13 June 2014)

Enhancements

  • DVT-6282 Validate the settings XML before compilation

Bugfixes

  • DVT-6331 Wrong hyperlinks when including external documentation directories

  • DVT-6333 Show the external documentation title under the 'Referenced Documentation' section in TOC

3.5.12 (10 June 2014)

Bugfixes

  • DVT-6285 & DVT-6287 Exceptions when generating documentation in certain configurations

  • DVT-6286 Exception when generating documentation with module diagrams with ports

  • DVT-6288 FileNotFoundException when documentation is generated with diagrams in the same location for multiple projects

  • DVT-6304 Progress dialog should also include design diagrams and design diagrams with ports

3.5.11 (30 May 2014)

  • First version