DVT SystemVerilog IDE User Guide
Rev. 24.1.5, 13 March 2024

Chapter 19. Favorite Searches

Click on the Favorite DVT Searches toolbar button of the Search View and choose the search you wish to run.

Tip: For quicker access to favorite searches, you can map a keyboard shortcut to the Favorite searches pop-up. Go to menu Window > Preferences then General > Keys, search for Favorite DVT Searches and enter a new Binding.

Choose Customize... to define or edit favorite searches.

Use the drop-down menu at the top of the dialog to select from available favorite searches.

Use the buttons in the top-right corner of the dialog to create, duplicate, or delete the current selected favorite search.

Use the checkbox at the bottom of the dialog to get notified when search elements are undeclared.

When creating a new favorite search, first specify the search name and language. Then fill in the fully qualified names of the searched elements. When running the favorite search, usages of all the specified elements will be displayed in the Search View.

Tip: To quickly get the qualified name of an element, you can use the Scope Breadcrumb context menu > Copy Qualified Name.