DVT SystemVerilog IDE User Guide
Rev. 24.1.5, 13 March 2024

13.3 Vertical Alignment

When enabled, this option performs vertical alignment.

  • Vertical Alignment Tokens (ro.amiq.vlogdt/format.vertical_align.tokens)

The lines of code inside the same scope are aligned by the specified comma separated list of vertical alignment tokens. Vertical alignment is performed left to right, by the same token. For example assuming '=' and ':' as vertical align tokens: In order to use the comma character ',' as a vertical alignment token, the character must be preceded by the escaping character '\'.

Before After (":" token)
  • Only consecutive lines (ro.amiq.vlogdt/format.vertical_align.consecutive_lines) - When enabled, only consecutive lines are vertically aligned. Two lines are consecutive if they follow each other or are separated by comment lines.

Before After
  • Vertical align single line comments (ro.amiq.vlogdt/format.vertical_align.sl_comments) - When enabled, single line comments are aligned.

Before After
  • Vertical align to open parenthesis (ro.amiq.vlogdt/format.vertical_align.paren) - When enabled, vertical align to open parenthesis.

Before After
  • Vertical align to open curly (ro.amiq.vlogdt/format.vertical_align.curly) - When enabled, vertical align to open curly.

Before After
  • Independent `ifdefs (ro.amiq.vlogdt/format.vertical_align.independent_ifdefs) - When enabled, `ifdefs are independent alignment scopes:

Before After
  • Vertical Align Patterns (ro.amiq.vlogdt/format.vertical_align.vregex) :

By Name Port Connections (VlogByNamePortConnections) - When enabled, this pattern aligns instance port connections by name:

Before After

Class Declarations (VlogClassDeclarations) - When enabled, this pattern aligns class parameters:

Before After

Class Variable Declarations (VlogClassVariableDeclarations) - When enabled, this pattern aligns class variables:

Before After

`defines (VlogDefines) - When enabled, this pattern aligns `defines:

Before After

Function Declarations (VlogFunctionDeclarations) - When enabled, this pattern aligns function and task declarations:

Before After

Function Variable Declarations (VlogFunctionVariableDeclarations) - When enabled, this pattern aligns function and task

Before After

Interface Port Declarations (VlogInterfacePortDeclarations) - When enabled, this pattern aligns interface ports:

Before After

Interface Signal Declarations (VlogInterfaceSignalDeclarations) - When enabled, this pattern aligns interface signals:

Before After

Module Port Declarations (VlogModulePortDeclarations) - When enabled, this pattern aligns module ports:

Before After

Module Signal Declarations (VlogModuleSignalDeclarations) - When enabled, this pattern aligns module signals:

Before After

`xvm_field macros (VlogXVMFieldMacros) - When enabled, this pattern aligns `xvm_field factory registration macros:

Before After